Service Hotline: 13823761625

News

Contact Us

You are here:Home >> News >> Industry News

Industry News

NVIDIA CEO Huang Renxun admits that he has made too many graphics cards and is currently selling them at a reduced price

NVIDIA CEO Huang Renxun admits that he has made too many graphics cards and is c...

On August 25, it was reported that the sales of personal computers fell suddenly in this quarter, and NVIDIA, like Intel, was suddenly in trouble. NVIDIA to...

See More
Heavy! Global chip sales drop far more than expected

Heavy! Global chip sales drop far more than expected

Introduction: as the US Federal Reserve and other major central banks sharply raise interest rates, international geopolitical risks continue to rise, and t...

See More
IC insights: this year‘s global semiconductor capital expenditure will reach 185.5 billion US dollars, with a year-on-year increase

IC insights: this year‘s global semiconductor capital expenditure will reach 18...

According to the latest data released by IC insights, a well-known semiconductor analysis institution, the three years 2020-2022 will be the first three-yea...

See More
MCU prices continue to fall, and it is difficult to rebound in the second half of the year

MCU prices continue to fall, and it is difficult to rebound in the second half o...

On August 17, according to the latest report released by US foreign investors, according to the survey of channel providers, the supply of MCU increased in ...

See More
Chen Min, technical director of TSMC (China) Co., Ltd.: mass production of 3-nanometer products will be realized in the second half of this year

Chen Min, technical director of TSMC (China) Co., Ltd.: mass production of 3-nan...

On the morning of August 18, Chen Min, technical director of TSMC (China) Co., Ltd., made a speech at the 2022 World Semiconductor conference and Nanjing In...

See More
Industry insiders: TSMC obtains 3nm order commitment from several chip suppliers

Industry insiders: TSMC obtains 3nm order commitment from several chip suppliers

On August 19, according to DIGITIMES, a source of IC design company disclosed that although competitor Samsung Electronics actively competed for orders for 3nm ...

See More
In order to seize the contract manufacturing order of TSMC, it is reported that Samsung has invested 500 billion won to expand the production of 4nm

In order to seize the contract manufacturing order of TSMC, it is reported that ...

According to the report of Taiwan, China‘s economic daily on August 18, Samsung has made great efforts to develop the advanced process of wafer foundry. Af...

See More
The United States is attacking the

The United States is attacking the "mother of chips"! How does EDA software affe...

According to the associated press, the US Department of Commerce on Friday issued a provisional final regulation on the ECAD software necessary for the desi...

See More
IC back-end material supplier said the impact of short-term power restriction in Sichuan was

IC back-end material supplier said the impact of short-term power restriction in...

On August 16, DIGITIMES reported that the industry insiders said that the IC back-end material suppliers expected that the impact of the six-day power rest...

See More
MCU big factory shengqun: the inventory level reached a new high, and the projection volume was revised down in the fourth quarter

MCU big factory shengqun: the inventory level reached a new high, and the projec...

MCU big factory shengqun: the inventory level reached a new high, and the projection volume was revised down in the fourth quarter

See More
The gap between supply and demand is widening, and the utilization rate of wafer foundry capacity may fall by the end of next year

The gap between supply and demand is widening, and the utilization rate of wafer...

Gartner, a well-known research institution, recently updated its forecast for the wafer foundry industry, pointing out that the utilization rate of foundry capa...

See More
Automobile

Automobile "core shortage cycle" continues, and automobile enterprises and chip ...

Recently, auto forecast solutions (hereinafter referred to as "AFS"), a data forecasting company in the automotive industry, disclosed a set of latest data:...

See More
SMIC‘s second quarter revenue increased year on year

SMIC‘s second quarter revenue increased year on year

On the evening of August 11, SMIC international, a leading wafer foundry in Chinese Mainland, released the second quarter financial report of 2022, with a s...

See More
The growth rate of Shanghai‘s integrated circuit industry in the first half of the year is expected to exceed 300 billion yuan

The growth rate of Shanghai‘s integrated circuit industry in the first half of ...

On August 12, the "master lecture hall" of Shanghai integrated circuit, one of the 2022 "gathering talents" series activities, opened. Fu Xinhua, a first-cl...

See More
Gartner: global semiconductor revenue growth is expected to slow down in 2022

Gartner: global semiconductor revenue growth is expected to slow down in 2022

According to Gartner‘s latest forecast, the global semiconductor revenue in 2022 is expected to grow by 7.4%, which is lower than the 13.6% forecast in the...

See More
Zhuhai Yuexin semiconductor project will enter the production stage as scheduled, and it is expected to be completed by the end of 2024

Zhuhai Yuexin semiconductor project will enter the production stage as scheduled...

Recently, according to the Zhuhai Special Zone News, the Zhuhai Yuexin semiconductor high-end RF and FCBGA packaging carrier board production and manufactur...

See More
Samsung will invest and build a Southeast Asia R & D center in Vietnam and mass produce chips in the middle of next year

Samsung will invest and build a Southeast Asia R & D center in Vietnam and mass ...

According to the report of Lao Dong, the Vietnamese media, on the 6th, Lu Taiwen, President of Samsung Electronics, met with Vietnamese Prime Minister Pham ...

See More
Effective market + promising government can promote the healthy development of integrated circuit industry

Effective market + promising government can promote the healthy development of i...

Today, the 12th Songshan Lake China IC Innovation Summit Forum, which is famous for the promotion of Chinese chips, was solemnly opened at Hyatt Regency Son...

See More
When to stop the

When to stop the "lack of core": Enlightenment from the financial report of semi...

The sudden COVID-19 epidemic has brought a great impact on the global economy in the first half of 2020. The GDP of major economies in a single quarter has ...

See More
IC insights: the sharp drop in memory prices led to a decline in IC sales in June

IC insights: the sharp drop in memory prices led to a decline in IC sales in Jun...

In its latest report, IC insights, a well-known semiconductor analysis agency, pointed out that due to the sharp drop in memory prices, IC market sales in J...

See More